格安USB BlasterでOdyssey Max10 評価キットへ書き込み

とんとご無沙汰しているFPGAですが,ぼちぼちいじっています.
前回はOdyssey Max10 評価キットに書き込みを行うところまで紹介しました.

前回はOdysseyの機能を使いましたが今回はUSB Blaster(もどき)を使って書き込む方法を行ってみます.

純正のUSB Blasterはとってもお高いのですが,Amazonをみると1200円となんだかとってもおやすいケーブルがあるので試しに買ってみました.(動かなくても我慢できる値段)

使い方は簡単.

結論からいくとなんら問題なく使用できました.とても安いし,この値段ならわざわざターミナル使わなくてもこれでいいんじゃないかという気がしてきました.
以下メモです.
現物写真.

Odysseyにつないでみたところ. ピンアサインは純正と同じ. 赤い線を左において,左下が1番ピン

書き込みはQuartus Primeの[Tools]から[Programmer]を選択
[Hardware Setup]ボタンを押して[USB-Blaster]を選択します.

あとは[Add File]から前回作成したプロジェクト内の”output_files”フォルダの中にある”led_test.sof”を選択します.

下の図のように表示されると思います.今回は[Start]を押しましょう
うまくいけば前回と同じプログラムが書き込まれているはずです.

コメント

タイトルとURLをコピーしました